<dfn id="yhprb"><s id="yhprb"></s></dfn><dfn id="yhprb"><delect id="yhprb"></delect></dfn><dfn id="yhprb"></dfn><dfn id="yhprb"><delect id="yhprb"></delect></dfn><dfn id="yhprb"></dfn><dfn id="yhprb"><s id="yhprb"><strike id="yhprb"></strike></s></dfn><small id="yhprb"></small><dfn id="yhprb"></dfn><small id="yhprb"><delect id="yhprb"></delect></small><small id="yhprb"></small><small id="yhprb"></small> <delect id="yhprb"><strike id="yhprb"></strike></delect><dfn id="yhprb"></dfn><dfn id="yhprb"></dfn><s id="yhprb"><noframes id="yhprb"><small id="yhprb"><dfn id="yhprb"></dfn></small><dfn id="yhprb"><delect id="yhprb"></delect></dfn><small id="yhprb"></small><dfn id="yhprb"><delect id="yhprb"></delect></dfn><dfn id="yhprb"><s id="yhprb"></s></dfn> <small id="yhprb"></small><delect id="yhprb"><strike id="yhprb"></strike></delect><dfn id="yhprb"><s id="yhprb"></s></dfn><dfn id="yhprb"></dfn><dfn id="yhprb"><s id="yhprb"></s></dfn><dfn id="yhprb"><s id="yhprb"><strike id="yhprb"></strike></s></dfn><dfn id="yhprb"><s id="yhprb"></s></dfn>

新聞中心

EEPW首頁(yè) > 嵌入式系統 > 設計應用 > 基于VHDL的基帶信號的MFSK調制

基于VHDL的基帶信號的MFSK調制

作者: 時(shí)間:2012-05-23 來(lái)源:網(wǎng)絡(luò ) 收藏

--多進(jìn)制數字頻率()系統程序

本文引用地址:http://dyxdggzs.com/article/149006.htm

  --文件名:

  --功能:硬件描述語(yǔ)言,完成對

  --說(shuō)明:這里MFSK的M為4

  --最后修改日期:2004.2.13

  library ieee;

  use ieee.std_logic_arith.all;

  use ieee.std_logic_1164.all;

  use ieee.std_logic_unsigned.all;

  entity MFSK is

  port(clk :in std_logic; --系統時(shí)鐘

  start :in std_logic; --開(kāi)始

  x :in std_logic; --

  y :out std_logic); --調制信號

  end MFSK;

  architecture behav of MFSK is

  process(clk) process(clk,yy) --此進(jìn)程完成對輸入信號x的MFSK調制

  begin

  if clk'event and clk='1' then

  if start='0' then y='0'; -- if語(yǔ)句完成2位并行碼到4種載波的選通

  elsif yy=00 then y=not f(3);

  elsif yy=01 then y=not f(2);

  elsif yy=10 then y=not f(1);

  else y=not f(0);

  end if;

  end if;

  end process;

  end behav;

--對輸入的基帶信號x進(jìn)行串/并轉換,得到2位并行信號的yy

  begin

  if clk'event and clk='1' then

  if start='0' then q=0;

  elsif q=0 then q=1;xx(1)=x;yy=xx;

  elsif q=8 then q=9;xx(0)=x;

  else q=q+1;

  end if;

  end if;

  end process;



關(guān)鍵詞: MFSK 調制 信號 基帶 VHDL 基于

評論


相關(guān)推薦

技術(shù)專(zhuān)區

關(guān)閉
国产精品自在自线亚洲|国产精品无圣光一区二区|国产日产欧洲无码视频|久久久一本精品99久久K精品66|欧美人与动牲交片免费播放
<dfn id="yhprb"><s id="yhprb"></s></dfn><dfn id="yhprb"><delect id="yhprb"></delect></dfn><dfn id="yhprb"></dfn><dfn id="yhprb"><delect id="yhprb"></delect></dfn><dfn id="yhprb"></dfn><dfn id="yhprb"><s id="yhprb"><strike id="yhprb"></strike></s></dfn><small id="yhprb"></small><dfn id="yhprb"></dfn><small id="yhprb"><delect id="yhprb"></delect></small><small id="yhprb"></small><small id="yhprb"></small> <delect id="yhprb"><strike id="yhprb"></strike></delect><dfn id="yhprb"></dfn><dfn id="yhprb"></dfn><s id="yhprb"><noframes id="yhprb"><small id="yhprb"><dfn id="yhprb"></dfn></small><dfn id="yhprb"><delect id="yhprb"></delect></dfn><small id="yhprb"></small><dfn id="yhprb"><delect id="yhprb"></delect></dfn><dfn id="yhprb"><s id="yhprb"></s></dfn> <small id="yhprb"></small><delect id="yhprb"><strike id="yhprb"></strike></delect><dfn id="yhprb"><s id="yhprb"></s></dfn><dfn id="yhprb"></dfn><dfn id="yhprb"><s id="yhprb"></s></dfn><dfn id="yhprb"><s id="yhprb"><strike id="yhprb"></strike></s></dfn><dfn id="yhprb"><s id="yhprb"></s></dfn>